全產(chǎn)業(yè)鏈聚焦5nm芯片

來(lái)源:華商網(wǎng)

上周,有消息稱(chēng),英特爾正在考慮重新設(shè)定其制程節(jié)點(diǎn)的命名規(guī)則,有可能完全拋棄之前的做法。

如果此消息屬實(shí)的話(huà),則英特爾這樣做是完全合乎情理的,因?yàn)樵摴驹诓痪们靶家笠?guī)模邁入晶圓代工市場(chǎng),而該市場(chǎng)的商業(yè)運(yùn)作模式與傳統(tǒng)的IDM有很大區(qū)別,IDM主要生產(chǎn)自家芯片,而晶圓代工則是為市場(chǎng)上的多家廠(chǎng)商生產(chǎn)芯片,情況要復(fù)雜的多。

就制程節(jié)點(diǎn)而言,必須要有一套可以被市場(chǎng)快速接受的命名方法和規(guī)則,而當(dāng)下的晶圓代工市場(chǎng),特別是最先進(jìn)制程,由臺(tái)積電和三星把持,廣大客戶(hù)已經(jīng)習(xí)慣了這套命名規(guī)則,其實(shí)際上也成為了業(yè)界標(biāo)準(zhǔn)。

后來(lái)者進(jìn)入該領(lǐng)域,就不得不向這樣的規(guī)則靠攏,才能更好的爭(zhēng)取到客戶(hù),并提供便利的服務(wù)。

英特爾的10nm制程已經(jīng)實(shí)現(xiàn)量產(chǎn),其晶體管密度達(dá)到了100.8MTr/平方毫米,與臺(tái)積電7nm相當(dāng),而被前者寄予厚望的7nm制程還未量產(chǎn)。

最新消息顯示,英特爾采用7nm制程節(jié)點(diǎn)工藝的Meteor Lake計(jì)算芯片預(yù)計(jì)在2021年第二季度開(kāi)始tape in。實(shí)現(xiàn)大規(guī)模量產(chǎn),恐怕要等到2022年了。

而從技術(shù)指標(biāo)來(lái)看,英特爾的7nm相當(dāng)于臺(tái)積電和三星的5nm,這一點(diǎn),ASML公司有過(guò)相應(yīng)的說(shuō)明。

英特爾宣布大規(guī)模進(jìn)入晶圓代工市場(chǎng)的時(shí)間點(diǎn),正是其7nm制程即將實(shí)現(xiàn)量產(chǎn)的時(shí)段。而如果該公司真的修改制程節(jié)點(diǎn)命名規(guī)則的話(huà),則所作的文章重點(diǎn)應(yīng)該是現(xiàn)在的7nm制程上,屆時(shí),如果將7nm改為5nm或類(lèi)似表述的話(huà),正好趕上其新建晶圓廠(chǎng)建設(shè)完成,工藝研發(fā)順利的話(huà),也會(huì)在那個(gè)時(shí)間段內(nèi)完成,到時(shí)(大概是2022年),臺(tái)積電和三星統(tǒng)治的5nm晶圓代工江湖,很可能出現(xiàn)第三家競(jìng)爭(zhēng)者,也就是英特爾。

全產(chǎn)業(yè)鏈聚焦5nm

當(dāng)下,5nm晶圓代工市場(chǎng)依然由臺(tái)積電主導(dǎo),三星正在緊追,英特爾也緊盯該市場(chǎng)。未來(lái)幾年,全球先進(jìn)制程(10nm以下)市場(chǎng)產(chǎn)能總體呈現(xiàn)供不應(yīng)求的狀態(tài),英特爾有望以5nm為起點(diǎn),在全球晶圓代工市場(chǎng)的先進(jìn)制程領(lǐng)域向臺(tái)積電和三星發(fā)起一波沖擊。

產(chǎn)能供不應(yīng)求,市場(chǎng)需求不斷增長(zhǎng),這樣的市場(chǎng),不僅吸引著英特爾這樣的IDM龍頭入場(chǎng),產(chǎn)業(yè)鏈各環(huán)節(jié)上的廠(chǎng)商都紛紛發(fā)力,爭(zhēng)取在這一巨大市場(chǎng)分得一杯羹。

半導(dǎo)體設(shè)備方面,就在本周,中國(guó)中微公司董事長(zhǎng)尹志堯表示,該公司開(kāi)發(fā)的12英寸晶圓等離子刻蝕設(shè)備,已經(jīng)進(jìn)入了客戶(hù)的5nm制程生產(chǎn)線(xiàn)。

等離子體刻蝕機(jī)是芯片制造中的一種關(guān)鍵設(shè)備,用來(lái)在芯片上進(jìn)行微觀雕刻,每個(gè)線(xiàn)條和深孔的加工精度都是頭發(fā)絲直徑的幾千分之一到上萬(wàn)分之一,精度控制要求非常高。

在EUV光刻機(jī)方面,全球僅有ASML一家公司掌握著EUV光刻機(jī)的核心技術(shù),這也是5nm制程必需的設(shè)備,但EUV光刻機(jī)的成本十分高昂,每臺(tái)售價(jià)高達(dá)1.2億美元,幾乎是DUV光刻機(jī)價(jià)格的2倍。

根據(jù)ASML公司發(fā)布的財(cái)報(bào),2019全年共出貨了26臺(tái)EUV光刻機(jī),2020年交付了30多臺(tái)EUV光刻機(jī),2021年則會(huì)達(dá)到45-50臺(tái)的交付量。這其中很大一部分都供給了臺(tái)積電,用于擴(kuò)充5nm,以及7nm產(chǎn)能。

作為當(dāng)下5nm制程產(chǎn)能的領(lǐng)導(dǎo)者,臺(tái)積電被多家芯片廠(chǎng)商追捧。最近,又有一批5nm芯片將在今年實(shí)現(xiàn)量產(chǎn),還有的在研發(fā)當(dāng)中,有望在2022和2023年實(shí)現(xiàn)量產(chǎn),到時(shí)候,加入戰(zhàn)團(tuán)的英特爾,有望從晶圓代工兩強(qiáng)臺(tái)積電和三星那里爭(zhēng)到一些訂單。

臺(tái)積電5nm制程的最大客戶(hù)是蘋(píng)果,據(jù)報(bào)道,臺(tái)積電將會(huì)在今年5月開(kāi)始為蘋(píng)果生產(chǎn)A15處理器,搭載于今年9月份即將亮相的手機(jī)iPhone 13。

據(jù)悉,蘋(píng)果A15芯片將繼續(xù)采用5nm工藝打造,整體性能表現(xiàn)可能與上代A14差別不大,但是由于臺(tái)積電工藝技術(shù)的提升,在功耗和發(fā)熱方面將有所改善。

華為海思原本是臺(tái)積電5nm制程的第二大客戶(hù),但由于受到美國(guó)制裁,海思無(wú)法獲得臺(tái)積電的晶圓代工支持,空出的相關(guān)產(chǎn)能也受到了眾多芯片廠(chǎng)商的追捧,其中,AMD成為了大戶(hù),有望緊跟蘋(píng)果之后,成為臺(tái)積電5nm制程的第二大客戶(hù)。

也就是在本周,采用5nm制程的AMD Zen4架構(gòu)處理器再次曝光。

在Zen3 Vermeer之后,銳龍CPU家族規(guī)劃了Zen3+ Warhol和Zen4 Raphael。Zen3+的變化包括6nm工藝、延續(xù)AMD4接口和對(duì)PCIe 4.0、DDR4內(nèi)存的支持;Zen4的變化就更大了,包括5nm工藝、對(duì)PCIe 5.0、DDR5內(nèi)存的支持、新的AM5接口等,另外,消息稱(chēng)Zen4 Raphael還將首次集成Navi2 GPU單元。

有猜測(cè)稱(chēng),Zen4 Raphael之所以能集成GPU,原因在于接口變化、5nm制程工藝晶體管密度更大、I/O Die升級(jí)到6nm等,從而為GPU留下空間。

Zen4 Raphael將是業(yè)界首個(gè)5nm制程的x86處理器。而Zen 4架構(gòu)的EPYC霄龍?zhí)幚砥鞯陌l(fā)布時(shí)間將會(huì)在2021年或者2022年初。Zen 4對(duì)AMD來(lái)說(shuō)至關(guān)重要,因?yàn)榇?hào)為“熱那亞”的數(shù)據(jù)中心處理器將會(huì)采用全新的SP5接口,新的接口將顯著改變處理器的I/O,并支持新的DDR5內(nèi)存標(biāo)準(zhǔn)和PCIe 5.0標(biāo)準(zhǔn)。

2020年3月,AMD公布了GPU發(fā)展路線(xiàn)圖,不僅包含了Radeon RX 5700 XT RDNA,還闡述了RDNA 2和RDNA 3。

其中,RDNA 3在功能方面能夠得到的消息還比較少,但從整體目標(biāo)來(lái)看,AMD仍然希望能夠持續(xù)提高每瓦功率性能,功耗仍然是GPU總體性能的瓶頸,而更先進(jìn)的制程工藝有助于提升功率效率。

鑒于即將發(fā)布的RDNA 2可能不再局限于臺(tái)積電的EUV 7nm+工藝,那么RDNA 3可能會(huì)采用臺(tái)積電的5nm制程工藝。

近期,三星的5nm制程也有訂單入賬,3月下旬,高通公司公布了下一款驍龍7系列處理器,名稱(chēng)為驍龍780G 5G,采用三星5nm工藝,代號(hào)SM7350-AB。驍龍780G SoC 基于八核架構(gòu),是驍龍768G 的繼任者,將瞄準(zhǔn)低預(yù)算的5G智能手機(jī)。

另一大手機(jī)處理器廠(chǎng)商聯(lián)發(fā)科也不甘示弱,該公司在2020年憑借天璣處理器的強(qiáng)勁表現(xiàn),打了個(gè)漂亮的翻身仗,還成為國(guó)內(nèi)最大手機(jī)處理器供應(yīng)商。

不久前,聯(lián)發(fā)科還發(fā)布了2021年開(kāi)年產(chǎn)品——天璣1200/1100系列5G芯片,但該系列只是針對(duì)前代產(chǎn)品的小幅升級(jí)版,并未帶來(lái)真正的旗艦芯片。

近日,最新報(bào)道顯示,聯(lián)發(fā)科首款5nm制程芯片將于今年第四季度正式投產(chǎn),并于明年初正式發(fā)布,是一款專(zhuān)門(mén)針對(duì)高端市場(chǎng)的旗艦產(chǎn)品。

此前有消息稱(chēng),聯(lián)發(fā)科5nm芯片將會(huì)被命名為天璣2000,目前已經(jīng)獲得了多家國(guó)內(nèi)手機(jī)廠(chǎng)商的訂單,明年上半年就會(huì)有搭載這款芯片的產(chǎn)品問(wèn)世。

聯(lián)發(fā)科CEO蔡力行曾公開(kāi)透露,其5nm旗艦芯片將由臺(tái)積電打造,目前已經(jīng)接近流片。據(jù)悉,聯(lián)發(fā)科已經(jīng)向臺(tái)積電預(yù)定了至少每月2萬(wàn)片的5nm制程產(chǎn)能,以此來(lái)打造天璣2000系列旗艦處理器。

谷歌自研手機(jī)芯片已經(jīng)不是什么秘密了。本周,有消息稱(chēng),預(yù)計(jì)今年發(fā)布的Pixel 6手機(jī)將搭載首批谷歌自研的處理器,其研發(fā)代號(hào)為Whitechapel。

其實(shí),早在去年,谷歌就曝光了要自研芯片的消息,當(dāng)時(shí)自研的芯片已經(jīng)植入手機(jī)開(kāi)始了長(zhǎng)測(cè),該芯片由谷歌和三星Exynos團(tuán)隊(duì)合作打造。有財(cái)經(jīng)媒體爆料,去年12月Whitechapel已經(jīng)流片,采用三星5nm LPE工藝,8核ARM架構(gòu),主要單元包括CPU、GPU和NPU。

以上談到的是5nm制程的晶圓代工廠(chǎng)、半導(dǎo)體設(shè)備,以及眾多芯片客戶(hù),要想實(shí)現(xiàn)相應(yīng)芯片產(chǎn)品的量產(chǎn),除了以上這些因素,相應(yīng)的半導(dǎo)體材料、配件,以及各種服務(wù)工作也是不可或缺的,需要產(chǎn)業(yè)鏈上的合作伙伴共同參與完成。

5nm及更先進(jìn)制程的發(fā)展,并不能單純依靠核心工藝的創(chuàng)新與EUV設(shè)備的加持。從材料角度來(lái)說(shuō),光刻膠等半導(dǎo)體材料的創(chuàng)新也是制程演進(jìn)的關(guān)鍵所在。

2019年,日韓之間的半導(dǎo)體材料大戰(zhàn)爆發(fā),韓國(guó)用于制造半導(dǎo)體和零部件設(shè)備的光刻膠、高純度氟化氫和含氟聚酰亞胺三大半導(dǎo)體材料,均遭到日本的出口限制,對(duì)韓國(guó)部分重要的產(chǎn)業(yè)發(fā)展造成了不小的影響。

光刻膠則是這三類(lèi)半導(dǎo)體材料中的重中之重。

在芯片制造過(guò)程中,曝光、顯影和刻蝕等重要工藝步驟都與光刻膠有關(guān),耗時(shí)占總工藝時(shí)長(zhǎng)的40%至60%,成本也占整個(gè)芯片制造成本的35%。

有機(jī)光刻膠主要用于90nm到7nm的芯片制造,但隨著制程推進(jìn)到5nm,將開(kāi)始需要無(wú)機(jī)光刻膠。

目前來(lái)看,中高端光刻膠產(chǎn)品主要還是掌控在日本廠(chǎng)商手中,臺(tái)積電與日本合作伙伴保持著緊密的聯(lián)系。

對(duì)于中國(guó)大陸的半導(dǎo)體材料廠(chǎng)商來(lái)說(shuō),機(jī)會(huì)也越來(lái)越多,如安集微電子、江豐電子等都是臺(tái)積電的供應(yīng)商。

2016-2018年,安集微電子來(lái)自臺(tái)積電的收入占比依次是10.7%、9.7%、8.1%,但安集微主要為臺(tái)積電成熟制程提供拋光液等產(chǎn)品。江豐電子的重要客戶(hù)中也包括臺(tái)積電,其鉭靶材及環(huán)件已在應(yīng)用于臺(tái)積電7nm芯片中。但要想打入其5nm制程供應(yīng)鏈,大陸半導(dǎo)體材料廠(chǎng)商還需要再努力。

掩模方面,家登是臺(tái)積電掩模傳送盒的獨(dú)家供貨商,隨著臺(tái)積電在7nm導(dǎo)入EUV,加上5nm量產(chǎn),EUV掩模傳送盒出貨可望倍增,且導(dǎo)入EUV后,掩模可曝光次數(shù)為原先四分之一,帶動(dòng)掩模傳送盒需求進(jìn)一步提升。

除了家登之外,臺(tái)積電相關(guān)設(shè)備與周邊材料供貨商,還包括承包無(wú)塵室工程廠(chǎng)漢唐、帆宣,以及晶圓可靠性等分析的閎康、應(yīng)材備品代工廠(chǎng)京鼎、后段濕式制程設(shè)備弘塑、辛耘,和相關(guān)自動(dòng)化及接口設(shè)備的迅得、信纮科等。

在設(shè)備維護(hù)中,可運(yùn)用AI進(jìn)行預(yù)測(cè)性維護(hù)。與此同時(shí),臺(tái)積電也利用深度學(xué)習(xí)方法進(jìn)行自動(dòng)化影像識(shí)別,對(duì)缺陷進(jìn)行及時(shí)準(zhǔn)確查詢(xún),這方面,迅得獲得了7nm及5nm生產(chǎn)線(xiàn)自動(dòng)化系統(tǒng)訂單。

對(duì)于測(cè)試來(lái)說(shuō),任何晶圓有錯(cuò)誤就會(huì)直接報(bào)廢,同時(shí)也會(huì)按照小批量處理原則給客戶(hù)提供風(fēng)險(xiǎn)評(píng)估報(bào)告。而針對(duì)可靠性測(cè)試,如果有任何die fail,旁邊4個(gè)臨近die也會(huì)fail,邊緣的die良率低的話(huà)也會(huì)fail。臺(tái)積電改善了抽測(cè)方式,以確保不會(huì)發(fā)生故障。

結(jié)語(yǔ)

5nm制程產(chǎn)能正處于爬坡階段,產(chǎn)業(yè)鏈的各個(gè)環(huán)節(jié)都在積極配合晶圓代工廠(chǎng),未來(lái)有很大的增長(zhǎng)空間。

在這種情況下,如果未來(lái)兩年內(nèi),英特爾真的改變制程節(jié)點(diǎn)命名規(guī)則的話(huà),將會(huì)在5nm這一節(jié)點(diǎn)上給臺(tái)積電和三星帶來(lái)一些競(jìng)爭(zhēng)壓力。

雖說(shuō)很難取得較大的市場(chǎng)份額,但以英特爾的體量及其雄厚的財(cái)力,必定會(huì)給5nm制程產(chǎn)業(yè)鏈各環(huán)節(jié)上的廠(chǎng)商帶來(lái)更多的盈利機(jī)會(huì)和空間。

標(biāo)簽: 芯片 英特爾 三星

推薦

財(cái)富更多》

動(dòng)態(tài)更多》

熱點(diǎn)